HDL語言仿真軟件Mentor Graphics ModelSim SE 2021.1 x64 許可授
778MB / 12-27
火絨HDLocker專用解密工具 v1.0.0.1 官方綠色免費版
892KB / 04-26
HDL語言仿真軟件ModelSim SE-64 2019.4 特別授權(quán)版(附激活文件+
900MB / 12-30
FlashDLPrint(專用切片軟件) v2.3.0 免費安裝版 64位
35.8MB / 10-28
HDL語言仿真軟件-Modelsim 10.2c 免費特別版(附破解文件) 64位
477.3MB / 06-13
HDL語言仿真軟件Modelsim SE 10.5a 安裝特別版(附破解補丁+教程)
655.2MB / 09-03
Active-HDL開放型仿真工具 v9.1 英文安裝免費版
3KB / 02-26
HDL Desing Entry EASE(HDL 圖像設(shè)計工具) v8.2 R1 官方特別版
60MB / 02-09
Blackmagic HDLink Utility(hdlink設(shè)備設(shè)置工具) v3.5.5 官方安
7.74MB / 10-25
-
-
PCB設(shè)計軟件Altium Designer 25(AD) 25.4.2 Build 15 中英文免費 機械電子 / 6.01GB
-
-
-
大型通用有限元分析軟件ANSYS Products+ 2025 R1.01 (SP1) x64 機械電子 / 52.7GB
-
仿真設(shè)計軟件Altair PSIM 2025 中文最新免費版(附安裝教程) Win6 機械電子 / 925MB
-
SolidCAM 2024 SP2 HF2 Multilang for SolidWorks 2018-2025 x64 機械電子 / 6.57GB
-
BETA-CAE Systems v24.1.3 (ANSA + Meta Post + CAD Translator) 機械電子 / 1.81GB
-
Abaqus 2025 x64 中文完整免費版(附安裝教程+漢化步驟) 機械電子 / 12.4GB
-
ANSYS Products 2024 R2.04 (SP4) x64 中文免費授權(quán)版(附安裝教 機械電子 / 22.1GB
詳情介紹
Aldec Active-HDL 12破解版是一款全球知名的FPGA設(shè)計仿真平臺,提供了許多新功能和增強功能,可以簡化基于團隊的設(shè)計,提高設(shè)計生產(chǎn)率以及VHDL,Verilog,SystemC,SystemVerilog和EDIF項目的行為,RTL和時序仿真的速度。Active-HDL 12提供獨立于FPGA供應(yīng)商的版本,并支持所有領(lǐng)先的C / HDL綜合和實現(xiàn)工具,這些工具可直接從Active-HDL環(huán)境中啟動。安裝程序?qū)⒆詣影惭b所有系統(tǒng)庫,并允許選擇目標FPGA技術(shù)和運行HDL仿真所需的特定于供應(yīng)商的庫。
PS:本次為大家?guī)淼氖茿ldec Active-HDL 12最新破解版,包含有效的許可授權(quán)文件,并提供親測可用的安裝破解教程,歡迎需要此款工具的朋友前來下載使用。
基本介紹
是混合HDL語言仿真和FPGA和ASIC設(shè)計的硬件輔助驗證的先驅(qū),已經(jīng)增強了Active-HDL,以支持VHDL-2019(IEEE 1076-2019)中的新功能。這些功能簡化了語言,消除了早期版本中存在的某些限制,并引入了新的應(yīng)用程序編程接口(API)。
還增加了對開源VHDL驗證方法(OSVVM)2020.08版的支持。
對OSVVM 2020.08的支持使Active-HDL的用戶可以訪問免費和開源方法的新需求跟蹤,更新的腳本,AXI4完整驗證組件以及對獨立交易進行建模。
Active-HDL的最新版本還具有SystemVerilog增強功能,包括對實例多維數(shù)組的初始支持,對未解析的用戶定義的網(wǎng)絡(luò)類型的初步支持以及對唯一約束的初步支持。
最新版本的Active-HDL中也存在對SystemVerilog的一些非標準擴展。這些措施包括允許通過連續(xù)分配來驅(qū)動時鐘塊的可變類型輸出,允許使用在子陣列的元素上迭代的foreach循環(huán)以及將具有Modport的虛擬接口分配給沒有Modport的虛擬接口。
Active-HDL是為開發(fā)VHDL,Verilog / SystemVerilog,EDIF和SystemC設(shè)計而設(shè)計的集成環(huán)境。它包括幾個設(shè)計輸入工具,HDL / SystemC編譯器,單個仿真內(nèi)核,幾個標準和高級調(diào)試工具,圖形和文本仿真輸出查看器,以及許多旨在簡化設(shè)計,資源文件和庫管理的輔助實用程序,以及內(nèi)置接口,允許在本地或遠程計算機上運行模擬,綜合或?qū)崿F(xiàn),控制源文件的修訂,或與提供模擬模型的第三方工具進行通信。
此外,Active-HDL提供了一組功能強大的向?qū)?,可幫助?chuàng)建新的工作區(qū),設(shè)計或設(shè)計資源,包括VHDL,Verilog,SystemC源文件,塊或狀態(tài)圖,測試平臺等。
您還可以通過Active-HDL宏語言的命令來調(diào)用您從圖形用戶界面執(zhí)行的大多數(shù)操作。通過編寫自己的宏,可以顯著改善測試并使設(shè)計處理自動化。 Active-HDL還為Perl和Tcl / Tk提供腳本引擎。通過創(chuàng)建用戶定義的腳本,您可以通過添加其他窗口,擴展宏語言以及為外部工具和軟件產(chǎn)品提供接口來增強Active-HDL設(shè)計環(huán)境。
Active-HDL套件還包括VSimSA,VSimSA是獨立的VHDL / Verilog / SystemVerilog / EDIF / SystemC仿真環(huán)境,設(shè)計用于批處理。在功能上,VSimSA完全獨立于Active-HDL。 VSimSA與Active-HDL的區(qū)別在于缺少圖形用戶界面(GUI)。 VSimSA命令和程序僅從命令行發(fā)布和控制,這在自動化設(shè)計測試中特別有用。
系統(tǒng)要求
Active-HDL的最佳PC配置
我應(yīng)該購買某種PC配置才能運行Active-HDL?
解答:
1. CPU參數(shù)
-單核與雙核或多核– Active-HDL是多線程應(yīng)用程序,因此選擇多核CPU優(yōu)于單核CPU。在模擬運行時與Active-HDL的GUI進行交互工作時,使用多核CPU的效果最為明顯(模擬將占用一個處理器核心,而GUI操作將在另一個處理器核心上運行)。另外,VHDL編譯器利用多核CPU來減少編譯時間。即使您在仿真運行時不瀏覽波形或編輯代碼,擁有多核CPU也會使您的其他應(yīng)用程序在后臺運行仿真時具有更高的響應(yīng)速度。
-頻率和CPU緩存大小-通常,此處的方法越多越好。請注意,較大的CPU緩存會對性能產(chǎn)生積極影響。
-體系結(jié)構(gòu)– 32位和64位
僅當Active-HDL需要分配幾GB的RAM來運行您的設(shè)計時,CPU架構(gòu)才重要。 64位體系結(jié)構(gòu)甚至可以為32位應(yīng)用程序(例如Active-HDL)分配4GB RAM,而Windows上的32位體系結(jié)構(gòu)限于2GB,可以選擇增加到3GB。因此,如果您的設(shè)計仿真不需要超過2GB的RAM,那么可以隨意選擇任何體系結(jié)構(gòu)。
2.圖形卡–我們在這里沒有任何特殊建議,但是卡速度越快,GUI操作(例如滾動查看,縮放等情況下)的波形響應(yīng)就越靈敏。
3. RAM大小–在32位Windows上,我們建議至少3GB的RAM。在64位OS上,我們建議4 GB或更大。請注意,考慮將這些建議用于一次在計算機上運行一個Active-HDL實例。如果您打算運行多個,請相應(yīng)地乘以上述數(shù)字。
Aldec Active-HDL 12安裝破解教程
1.在本站下載好數(shù)據(jù)包后進行解壓得到安裝程序“Active-HDL_12.0.118.7745_x64_main_setup.exe”,鼠標雙擊運行進入安裝向?qū)c擊“next”進入下一步
2.選擇第一項“I accept the terms of the license agreement”(我接受許可協(xié)議的條款),再點擊“next”進入下一步
3.選擇安裝位置,默認路徑為“C:\Aldec\Active-HDL-12-x64”,建議最好不要安裝到系統(tǒng)盤(C盤)
4.根據(jù)自身需求選擇安裝功能組件,需要安裝的前面勾上即可
5.軟件安裝需要一些時間請耐心等待即可
6.當安裝完成后點擊“finish”即可退出安裝向?qū)?/p>
7.完成后先不要運行軟件,回到剛才下載的數(shù)據(jù)包中將rmcl.dll復(fù)制到安裝目錄下的bin文件夾中,點擊替換目標中的文件
8.記事本方式打開license.dat,請確保將HOSTID = ANY_ID修改為您自己的名稱,例如HOSTID =3C-7C-3F-ED-62-66
(1)我們首先需要知道電腦的HostID就是電腦的物理地址,按win+R鍵打開運行,輸入cmd,
(2)第二步進去命令提示符之后,輸入ipconfig /all,如下圖所示:
(3)第三步按回車鍵之后,可以看到電腦的物理地址也就是電腦的HostID,如下圖所示:
(4)小編的HostID為:3C-7C-3F-ED-62-66,這時以記事本的方式打開“License.lic”,點擊“編輯”-“替換”,查找內(nèi)容輸入:原本的HostID,替換內(nèi)容輸入“3C-7C-3F-ED-62-66”,最后點擊全部替換即可,保存退出!
9.將“License.lic”復(fù)制到安裝目錄下DAT文件夾中
10.創(chuàng)建一個系統(tǒng)環(huán)境變量
變量名:ALDEC_LICENSE_FILE
變量值:license.dat的路徑(例如C:\Aldec\Active-HDL-11.1-x64\Dat\ license.dat)
11.最后運行軟件即可直接免費使用了
Active-HDL 12新功能
1、Aldec, Inc.是FPGA和ASIC設(shè)計的混合HDL語言仿真和硬件輔助驗證的先驅(qū),已增強以支持VHDL-2019 (IEEE 1076-2019)中的新特性。這些特性簡化了語言,解除了早期版本中存在的某些限制,并引入了新的應(yīng)用程序編程接口(api)。
2、還增強了SystemVerilog,包括對實例的多維數(shù)組的初步支持,對未解析的用戶定義的網(wǎng)絡(luò)類型的初步支持,以及對惟一約束的初步支持。
3、最新版本中也出現(xiàn)了一些對SystemVerilog的非標準擴展。這包括允許由連續(xù)賦值驅(qū)動可變類型的時鐘塊輸出,允許使用foreach循環(huán)遍歷子數(shù)組的元素,以及將帶modport的虛擬接口賦值給不帶modport的虛擬接口。
4、是為開發(fā)VHDL、Verilog/SystemVerilog、EDIF和SystemC設(shè)計而設(shè)計的集成環(huán)境。它包含的幾個設(shè)計輸入工具,高密度脂蛋白/ SystemC編譯器單一仿真內(nèi)核,幾個標準和先進的調(diào)試工具,圖形和文本仿真輸出的觀眾,和許多輔助工具設(shè)計設(shè)計,便于管理、資源文件,和庫以及內(nèi)置的接口,允許運行模擬、合成、或?qū)崿F(xiàn)本地或遠程計算機,控制源文件的修訂,或者與提供仿真模型的第三方工具通信。
5、提供了一組強大的向?qū)?/strong>,可以方便地創(chuàng)建新的工作空間、設(shè)計或設(shè)計資源,包括VHDL、Verilog、SystemC源文件、塊或狀態(tài)圖、test長凳等。
6、從圖形用戶界面執(zhí)行的大多數(shù)操作也可以通過軟件宏語言的命令調(diào)用。通過編寫自己的宏,可以顯著改進測試和自動化設(shè)計處理。軟件還為Perl和Tcl/Tk提供腳本引擎。通過創(chuàng)建用戶定義的腳本,您可以通過添加額外的窗口、擴展宏語言以及提供外部工具和軟件產(chǎn)品的接口來增強Active-HDL 12設(shè)計環(huán)境。
7、套件還包括VSimSA,一個為批處理設(shè)計的獨立的VHDL/Verilog/SystemVerilog/EDIF/SystemC仿真環(huán)境。在功能上,VSimSA完全獨立于軟件。VSimSA與軟件的區(qū)別在于缺少圖形用戶界面(GUI)。VSimSA命令和程序完全由命令行發(fā)出和控制,這在自動化設(shè)計測試中特別有用。
軟件特色
1、HDL編輯器
“刪除尾隨空白”選項可用于“首選項”對話框的“HDL編輯器”類別中的所有受支持語言。保存文檔時,該選項會自動刪除行末端不必要的空白字符。
2、方框圖編輯器
已經(jīng)引入了允許解析總線和端口范圍的功能。在將端口或總線分成不同范圍的片的情況下,將圖形描述轉(zhuǎn)換為HDL代碼的機制必須確定應(yīng)該在生成的代碼中使用哪個指定范圍。選擇邊界時,包含泛型的范圍優(yōu)先于沒有它們的范圍。有關(guān)更多信息,請參閱解決總線范圍主題并不總是可以自動解決范圍。當解決機制失敗時,將報告相應(yīng)的消息,并且必須手動指定范圍。這可以在“代碼生成設(shè)置”對話框的“總線范圍分辨率”選項卡中完成,該對話框顯示具有未解析范圍的項目。有關(guān)更多信息,請參閱“代碼生成設(shè)置”對話框主題。它們附加到符號的泛型和參數(shù)的順序保留在程序框圖文檔中。符號實例與符號定義中聲明的順序同步,泛型和參數(shù)按照在代碼中生成的順序顯示。可以使用“符號編輯器”窗口中調(diào)用的“符號屬性”對話框的“泛型”或“參數(shù)”選項卡中的拖放方法來修改此順序。然后可以在“比較接口”對話框的相應(yīng)選項卡中查看更改。以前,打開程序框圖文件時,泛型和參數(shù)按字母順序排序。無約束選項已添加到“代碼生成設(shè)置”對話框的“范圍分辨率”選項卡中的“方向”列中。該選項僅適用于生成VHDL代碼的圖表中的終端。有關(guān)更多信息,請參閱“解決總線范圍和代碼生成設(shè)置”對話框主題。可以在合成期間指定實例的哪些泛型可用??梢栽?ldquo;符號屬性”對話框的“泛型”選項卡中選擇單個實例,該對話框可從圖中顯示的塊的上下文菜單訪問。請注意,只能為單個實例啟用為所有組件實例選擇要合成的泛型(在編輯符號時調(diào)用的“符號屬性”對話框中)。
3、基于斷言的驗證
PSL端點變量可以用作async_abort和sync_abort運算符的重置條件。
可以在vpropPSL驗證單元中聲明斷言,覆蓋,序列,屬性和覆蓋指令。此外,從vprop單元繼承的vprop和vunit可以放在單獨的文件中。
PSL表達式支持預(yù)定義的VHDL屬性。
4、設(shè)計范圍
可以在代碼覆蓋率查看器中選擇代碼覆蓋率和表達式覆蓋率報告的內(nèi)容和格式。在以前的版本中,GUI中創(chuàng)建的文本和HTML報告是使用無法修改的默認設(shè)置生成的。只有在從命令行調(diào)用報告生成時,才能自定義生成選項。在當前版本中,可以在“代碼覆蓋率查看器”窗口的“文件”菜單中訪問的“報表設(shè)置”對話框中更改選項。
顯示設(shè)計覆蓋率或設(shè)計分析統(tǒng)計信息(代碼覆蓋率,切換覆蓋率和設(shè)計分析器查看器)的獨立查看器在打開coverage或分析器數(shù)據(jù)文件時支持拖放操作。
將舊數(shù)據(jù)庫文件(*.ccl和*.exd)拖放到Active-HDL 12窗口會自動在代碼覆蓋率查看器中打開覆蓋率統(tǒng)計信息。
設(shè)計覆蓋率報告不僅可以在離線模式下生成(在收集統(tǒng)計數(shù)據(jù)并保存到coverage數(shù)據(jù)庫之后),還可以在模擬運行時生成??梢允褂胊cdbreport命令完成。有關(guān)更多信息,請參閱腳本。
如果未打開任何設(shè)計或工作空間,則可以訪問“工具”菜單中提供的“覆蓋率合并”選項。以前,該選項已變暗,無法在這些情況下使用。
覆蓋數(shù)據(jù)庫
切換覆蓋率結(jié)果可以存儲在ACDB文件中。此外,可以在模擬結(jié)束后指定覆蓋率報告的格式。
斷言覆蓋的統(tǒng)計信息可以存儲在ACDB文件中??梢栽诿钚兄袉⒂眯骂愋偷母采w數(shù)據(jù)(acom,alog,asim,acdbsave,acdbenable等)。
5、設(shè)計管理
Coverage / Profiler類別已添加到“首選項”對話框中。該類別等同于“設(shè)計設(shè)置”對話框中提供的類別。
由于與分層模式下的收集覆蓋率和性能分析統(tǒng)計信息的默認模式相關(guān)的更改,已從“設(shè)計設(shè)置”和“首選項”對話框的“代碼覆蓋率”和“事件探查器”類別中刪除“每個實例收集數(shù)據(jù)”選項。
6、調(diào)試
顯示指示HDL對象類型的圖標的“模式”列已添加到“監(jiān)視和調(diào)用堆棧”窗口以及“設(shè)計瀏覽器”窗口的“結(jié)構(gòu)”選項卡中。在以前的版本中,此列僅在波形查看器中可用。
可以在“首選項”對話框的“外觀”類別中指定“非活動信號網(wǎng)格”和“波形視圖”窗格的顏色以及“加速波形查看器”和“加速列表查看器”窗口的非活動“列表視圖”窗格的顏色。
7、狀態(tài)圖編輯器
支持Xilinx Vivado綜合屬性。
綜合屬性支持已經(jīng)過重新設(shè)計。 工具選擇已移至“機器屬性”對話框。 除了設(shè)置屬性值之外,用戶還可以在此窗口中包含或排除生成的屬性。
8、標準波形查看器/編輯器
標準波形查看器/編輯器在64位版本的軟件中不可用。
9、標準列表查看器
標準列表查看器在64位版本的Active-HDL 12中不可用。
使用說明
1、一般
在使用VITAL模型的設(shè)計初始化期間,僅在加載SDF文件時啟動負約束計算階段。無論SDF文件的可用性如何,都應(yīng)啟動此階段。
如果多次重新啟動仿真,則可能會發(fā)生內(nèi)部存儲器碎片,導(dǎo)致內(nèi)存分配增加。(DKO2370)
如果在模擬運行時關(guān)閉系統(tǒng)控制臺窗口而中斷VSimSA,則wave.asdb.error文件和wave.asdbw文件夾及其內(nèi)容將保留在磁盤上。這些是臨時項目,如果需要,用戶可以安全地刪除它們。此外,它們不會妨礙后續(xù)模擬會話,并在運行新模擬時自動刪除。
無論如何,建議通過發(fā)出endsim命令來結(jié)束模擬。(MRP3553)
2、Verilog模擬
只有將所有文件編譯到空設(shè)計庫中時,頂級Verilog模塊的自動檢測才能正常工作。頂級檢測不適用于單獨編譯的Verilog文件。
無法停止將信號記錄到波形文件中。一旦在模擬過程中將信號添加到波形文件中(使用trace或addwave命令),它將具有完整的歷史記錄,直到模擬結(jié)束。
具有隨機刺激器規(guī)范的$force任務(wù)不起作用(JKL59)。
3、SystemVerilog模擬
常規(guī)數(shù)組不能分配給動態(tài)數(shù)組,反之亦然。
不支持使用文字的作業(yè)。
類C操作符(+=,++,-等)不能操作隊列/數(shù)組元素。
$sign不能用于引用隊列的最后一個元素。(它只能在聲明隊列時使用。)
foreach循環(huán)不能用于迭代數(shù)組/隊列元素。
系統(tǒng)功能,例如$size不適用于數(shù)組或隊列。
通過將點和字段名稱附加到索引名稱(即數(shù)組/隊列元素),無法訪問類和結(jié)構(gòu)的字段。
數(shù)據(jù)容器不能放在結(jié)構(gòu)或類中。
動態(tài)數(shù)組,關(guān)聯(lián)數(shù)組和隊列的常規(guī)數(shù)組不可用。
幾個對象類型(例如解壓縮的結(jié)構(gòu)或字符串)不能放在數(shù)組和隊列中。
數(shù)據(jù)容器不能通過引用傳遞
下載地址
Aldec Active-HDL v12.0.118.7745 授權(quán)激活版(附許可文件+安裝教程) 64位
人氣軟件
minitab2021 v21.4.2 中文破解版(附使用教程) 64位
selenium IDE安裝包 V2.9.0 (附安裝教程)
西門子全系列軟件授權(quán)工具Sim EKB Install v2023.11.24 最新綠色
ANSYS Products 2024 R2.04 (SP4) x64 中文免費授權(quán)版(附安裝教
mastercam9.1 中文特別版(附mastercam9.1安裝教程)
EPLAN Electric P8 2022 中文授權(quán)破解版(含補丁+安裝教程)
EPLAN Electric P8 2024.0.3 (21408) x64 中文完美激活版(附安裝
Modbus slave調(diào)試工具 v7.4.4 綠色免安裝漢化版 64位
Sidefx Houdini FX(電影特效魔術(shù)師) v12.5 2013 english 附詳細
KISSsoft 2022 SP3-SP5 x64 中文免費破解版(附許可文件+教程)
相關(guān)文章
-
大型通用有限元分析軟件ANSYS Products+ 2025 R1.01 (SP1) x64 中文完整免費版
ANSYS Products+ 2025是美國ANSYS公司研制的大型通用有限元分析軟件,2025 版本通過強大的功能進一步推動了創(chuàng)新,這些功能可幫助您開發(fā)安全可靠的嵌入式應(yīng)用程序,減少開發(fā)...
-
Abaqus 2025 x64 中文完整免費版(附安裝教程+漢化步驟)
Abaqus 2025是一套功能強大的工程模擬的有限元軟件,其解決問題的范圍從相對簡單的線性分析到許多復(fù)雜的非線性問題,包括一個豐富的、可模擬任意幾何形狀的單元庫...
-
Siemens SIMATIC PCS 7 V10 2023.7 中文完整授權(quán)版(附教程)
SIMATIC PCS 7是一款性能可靠的分布式控制系統(tǒng),先進的過程控制系統(tǒng)非常適合工廠應(yīng)用,作為強大的系統(tǒng),它具有靈活的適應(yīng)性,該系統(tǒng)可確保工廠全生命周期中的平穩(wěn)流程、優(yōu)...
-
CNC編程軟件 GibbsCAM 2025 v25.0.41.0 x64 中文授權(quán)免費版(附安裝教程)
GibbsCAM是一款易于學習且直觀的CAM軟件,非常適合企業(yè)中的各類用戶。無論您是經(jīng)驗豐富的技術(shù)專家還是剛?cè)腴T的新手,GibbsCAM的高效編程能力都能幫助您實現(xiàn)最高75%的編程效...
-
Cadence SPB OrCAD X/Allegro X Design Platform 2024 v24.10.000 完整免費版
Cadence設(shè)計系統(tǒng)公司發(fā)布了Cadence OrCAD X Design Platform 2024 (OrCAD X and Allegro X),此次更新的重點是可用性、集成分析和自動化,包括BOM預(yù)測和預(yù)測分析、數(shù)據(jù)管理...
-
齒輪設(shè)計軟件 KISSsoft 2024 SP3 x64 中文免費版(附安裝教程)
KISSsoft 2024是一款專業(yè)的齒輪傳動設(shè)計分析軟件,它是用于設(shè)計、優(yōu)化和分析機器元件的模塊化計算系統(tǒng),廣泛應(yīng)用于工業(yè)領(lǐng)域、航空航天領(lǐng)域、車輛行業(yè)等,本次提供的是KISSs...
下載聲明
☉ 解壓密碼:chabaoo.cn 就是本站主域名,希望大家看清楚,[ 分享碼的獲取方法 ]可以參考這篇文章
☉ 推薦使用 [ 迅雷 ] 下載,使用 [ WinRAR v5 ] 以上版本解壓本站軟件。
☉ 如果這個軟件總是不能下載的請在評論中留言,我們會盡快修復(fù),謝謝!
☉ 下載本站資源,如果服務(wù)器暫不能下載請過一段時間重試!或者多試試幾個下載地址
☉ 如果遇到什么問題,請評論留言,我們定會解決問題,謝謝大家支持!
☉ 本站提供的一些商業(yè)軟件是供學習研究之用,如用于商業(yè)用途,請購買正版。
☉ 本站提供的Aldec Active-HDL v12.0.118.7745 授權(quán)激活版(附許可文件+安裝教程) 64位資源來源互聯(lián)網(wǎng),版權(quán)歸該下載資源的合法擁有者所有。